module tb; reg [1:0] a ; reg [1:0] b ; wire [3:0] result ; dut dut_inst (.result(result), .a(a), .b(b) ); initial begin a = 3 ; b = 2 ; #10 ; $display("result : %d, ", result); end endmodule