module tb; reg [1:0] a ; reg [1:0] b ; wire [3:0] resultA, resultB ; dut dut_inst (.resultA(resultA), .resultB(resultB), .a(a), .b(b) ); initial begin a = 2'b11 ; b = 2'b10 ; #10 ; $display("resultA : %b, resultB: %b ", resultA,resultB ); end endmodule